半导体中什么叫全封装(半导体中什么叫全封装电路)

(报告出品方/作者:中信证券,徐涛、张若海、王子源)

1 中国大陆产线进展:行业增速39%,晶圆厂扩产拉动各类半导体设备需求

全球 700 亿美元半导体设备市场,国内半导体设备市场增速两倍于全球,占比 26% (2020 年)。在国内晶圆厂扩产驱动下,半导体设备需求持续拉升,据 SEMI 数据, 2020 年全球半导体设备市场 712 亿美元,同比增速 19%,中国大陆市场 187 亿美元, 同比增速 39%,国内市场增速显著高于全球市场;中国大陆占全球比重 26.3%,首次成 为半导体设备的最大市场。SEMI 预测 2021 年全球半导体设备市场规模为 953 亿美元, 同比增长 34%,2022 年有望达 1013 亿美元。


国内主要下游晶圆厂扩产进展更新:我们预计 2022 年中芯国际、合肥长鑫、华虹集 团、长江存储均为扩产主力。按照计划来看,国内近些年较快扩产的主要本土厂商包括 长江存储、中芯国际、合肥长鑫、华虹集团(包括华虹无锡、华虹宏力、华力微、华力 集成等);各厂主要扩产计划梳理如下:

1) 长江存储:3D NAND Flash 存储器国内龙头 IDM,三期总产能规划 30 万片/月。 长江存储一期项目于 2018 年投产,2019 年产能达到 2 万片/月,2020 年扩产至 约 5 万片/月,我们预计一期结束 2021~2022 有望达到 10 万片/月产能,二期土 建已于 2020 年 6 月开工,加上未来三期项目合计产能规划共 30 万片/月。

2) 中芯国际:中国大陆晶圆代工龙头,深圳、北京京城、上海临港项目依次扩产, 已披露产能规划未来有望新增约 40 万片/月。我们预计 2022 年深圳 12 英寸从 零起步产能爬坡(满产将达 4 万片/月,现洁净室已就绪)、上海临港厂房有望动 工,2022 年下半年北京京城项目建成进入洁净室安装,2023 年京城厂有望产能 爬坡(一期满产将达 10 万片/月,总计两期 20 万片/月)、临港厂房建成进入洁 净室安装,2024 年临港爬产(满产 10 万片/月)。此外 8 寸方面,天津、深圳、 上海厂均有继续扩产空间;在 12 英寸先进制程(14nm)方面,若后续设备获取 顺利,上海中芯南方厂区还有继续扩产可能,现有一期洁净室支持 3.5 万片/月 (现产能 1.5 万片/月),预留空地支持二期新增 3.5 万片/月。

3) 华虹无锡:12 英寸成熟制程头部厂商,2022 年持续扩产,新项目有望上马,有 望扩张 10 万片以上月产能。我们预计华虹无锡一期(Fab 7)产能从 2021 年 4 万片/月扩张至 2022 年约 9 万片/月(12 英寸),2022~2023 年有望开始新建二 期(Fab 9),额外新增 8~9 万片/月产能。

4) 华力集成:12 英寸先进制程头部厂商,后续有望新建 Fab 8。我们预计产能逐 步扩张至满产 4 万片/月。根据华虹集团 2021 全球供应商年会新闻稿,华力有望 于 2021 年内启动建设 Fab 8,新增约 4 万片/月先进制程产能。

5) 长鑫存储:DRAM 存储器国内龙头 IDM,三期总产能规划 37.5 万片/月。我们预计产能将从 2021 年初 4 万片/月扩张至 2022~2023 年 12.5 万片/月,同时 2022~2023 年有望启动二期建设(12.5 万片/月)。

2 设备类型:薄膜沉积、刻蚀、过程控制等设备招标数量较多,均为百亿美金级别市场

从晶圆厂内各工艺环节来看,薄膜沉积、光刻、刻蚀设备是产线中总价值量最高的 三类半导体设备,均占全球半导体设备市场的 20%以上。晶圆厂内半导体设备按照类型 可大致分为薄膜沉积、光刻、刻蚀、过程控制、自动化制造和控制、清洗、涂布显影、 去胶、化学机械研磨(CMP)、快速热处理/氧化扩散、离子注入、其他晶圆级设备等类 别,其中薄膜沉积、光刻、刻蚀、过程控制占比最大。


我们将长江存储、华力集成、华虹无锡近五年招投标样本数据进行整理,由于招投 标设备口径为机台数量占比,与 SEMI 的销售额数据相比略有出入,但总体接近。为便 于统计比较,我们招投标数据中暂未将厂务系统、辅助设备、封装测试设备、自动化搬 送系统列入统计范围。由于三座厂商均为晶圆厂,封装测试设备采购数量有限且不具代 表性,故不计入下文统计和分析范围内,厂务系统(如机电安装、管理系统等)、辅助设 备(如化学品输送)、自动化搬送系统属于晶圆厂内基础设施,从设备台数角度可比意义 不大,因此未计入统计分析范畴。

长江存储:薄膜沉积、刻蚀、过程控制、氧化扩散/热处理设备招标数量占比较大。 从机台招标数量来看,长江存储在 2017~2020 年间招标采购薄膜沉积设备数量较多,占 比约 32%;刻蚀、过程控制、氧化扩散/热处理设备数量占比分别为 18%、15%、11%。 光刻设备金额占比较高,但由于单机价格较高,从数量而言招标采购数量占比并不高。


华力集成:过程控制、薄膜沉积、清洗招标数量占比较高。从机台招标数量来看, 华力集成在 2016~2021 年间招标采购过程控制设备数量较多,占比约 27%;薄膜沉积、 清洗、刻蚀设备数量占比分别为 18%、15%、12%。

华虹无锡:过程控制、氧化扩散/热处理、刻蚀、薄膜沉积招标数量占比较高。从机 台招标数量来看,华虹无锡在 2018~2021 年间招标采购过程控制设备数量较多,占比约 23%;氧化扩散/热处理、刻蚀、薄膜沉积设备数量占比分别为 19%、13%、12%。


3 国产化趋势:美日设备占比最高,国产占比呈现显著上升趋势

从行业格局来看,美日欧厂商在半导体设备领域具备传统优势,占据半导体设备全 球前 15 名席位。国际主流厂商中,应用材料、泛林、东京电子在薄膜沉积、刻蚀领域具 备领先地位,科天在过程控制(检测、量测)设备处于领导地位,均稳居全球前五位置。 据我们估算,2020 年中国大陆厂商营收在全球市场占比约 2%左右。

长江存储:各中标供应商按照总部地区划分,美日占比最高,中国大陆占比持续提 升。从近五年长江存储招投标项目累计数量来看,美国、日本厂商中标项目数量占比分 别达 43%、30%,反映出两地区厂商仍占据主流地位。五年累计招标中,中国大陆厂商 中标项目数量占比 15%,分年度看,2020 年长江存储 541 项设备招标中,中国大陆厂商 中标项目数量占比 17%,而 2021 年(截至 10 月 18 日)长江存储 352 项设备招标中, 中国大陆厂商中标项目数量占比达到 20%,过去几年呈现逐渐上升趋势,相应美国厂商 中标项目数量占比呈现下降趋势。


华力集成:美日占比最高,中国大陆 2020 年占比达 28%。从近六年华力集成招投 标项目累计数量来看,美国、日本厂商中标项目数量占比分别达 48%、28%,反映出两 地区厂商仍占据主流地位。六年累计招标中,中国大陆厂商设备中标项目数量占比 13%, 分年度看,2019 年华力集成 159 项设备招标中,中国大陆厂商中标项目数量占比 20%, 2020 年华力集成 164 项设备招标中,中国大陆厂商中标项目数量占比 28%,2021 年 (截至 10 月 18 日)华力集成 30 项设备招标中,中国大陆厂商中标项目数量占比 23%。

华虹无锡:美日占比最高,中国大陆近两年占比达 23%。从近四年华虹无锡招投标 项目累计数量来看,美国、日本厂商中标项目数量占比分别达 38%、27%,反映出两地 区厂商仍占据主流地位,中国大陆厂商设备中标项目数量占比 21%,分年度看,2019 年 华虹无锡 174 项设备招标中,中国大陆厂商中标项目数量占比 18%,2020 年华力集成 257 项设备招标中,中国大陆厂商中标项目数量占比 23%,2021 年(截至 10 月 18 日) 华力集成 73 项设备招标中,中国大陆厂商中标项目数量占比 23%,近两年国产厂商占比 有明显提升。(报告来源:未来智库)

4 设备厂商现状:优秀国产厂商涌现,国产替代有望加快

国内在半导体设备各细分领域涌现出一批优秀公司。由于半导体设备种类繁多,制 造原理各异,在各细分领域中已形成具备一定规模和国内替代技术实力的国产细分龙头 厂商,但与海外厂商相比技术实力与收入体量相差仍大。北方华创为国内规模最 大、产品覆盖最广的半导体设备公司,在氧化扩散/热处理、PVD 设备具备较强的产品竞 争力,硅刻蚀和金属刻蚀、清洗机亦导入长江存储。

中微公司为国内半导体设备技术领 先龙头,在集成电路制造使用的刻蚀设备以及 LED 外延片生长使用的 MOCVD 设备领域 技术领先,在长江存储介质刻蚀份额已达到 30%左右水平,已横向拓展化学气相沉积和 量测设备等市场。盛美上海在清洗设备方面通过自研技术解决了兆声波清洗的缺点,与 国际龙头差异化竞争,争夺高端市场,同时横向拓展电镀、立式炉,以及先进封装所用 的刻蚀、涂胶显影、抛光、去胶等设备。

长江存储:中标供应商中,北方华创、屹唐股份、中微公司、盛美上海位列国产供 应商前列。美国厂商(泛林、应用材料、科天、Onto、泰瑞达等)、日本厂商(东京电子、 国际电气、迪恩士、爱德万等)仍是采购主流。国内厂商方面,公开招标数据显示, 2017~2021 年间北方华创在长江存储共中标 46 次、131 台设备,屹唐股份同期在长江存 储共中标 46 次、100 台设备,中微公司同期在长江存储共中标 37、59 台设备,盛美上 海同期在长江存储共中标 29 次、35 台设备。


华力集成:中标供应商中,盛美上海、北方华创等位列国产供应商前列。国内厂商 方面,公开招标数据显示,2016~2021 年间盛美上海在华力集成共中标 17 次、21 台设 备,北方华创同期在华力集成共中标 11 次、22 台设备,屹唐股份同期在华力集成共中标 10 次、12 台设备,上海天隽机电设备有限公司同期在华力集成共中标 9 次、42 台设备 (均为研磨液供应设备),杭州广立微电子设备有限公司同期在华力集成共中标 8 次、14 台设备(均为 EDA 软件或晶圆电性测试仪),中微公司同期在华力集成共中标 7 次、15 台设备。

华虹无锡:中标供应商中,盛美上海、北方华创、中微公司等位列国产供应商前列。 国内厂商方面,公开招标数据显示,2018~2021 年间盛美上海在华虹无锡共中标 22 次、 23 台设备,北方华创同期在华虹无锡共中标 16 次、21 台设备,中微公司同期在华虹无 锡共中标 10 次、11 台设备,华海清科同期在华虹无锡共中标 9 次、10 台设备,屹唐股 份同期在华虹无锡共中标 8 次、16 台设备,拓荆科技同期在华虹无锡共中标 6 次、6 台 设备。


以下我们分设备类型,继续分析各类细分设备中国际和国内厂商中标情况。

1、刻蚀:国产化率 22%,中微公司、北方华创、屹唐股份三强崛起

长江存储:国产刻蚀设备主要采购自中微公司、北方华创、屹唐股份。在长江存储 2017~2021 年刻蚀设备招标中,中微公司设备中标数量位列第三,累计 58 台,仅次于泛 林、东京电子,高于应用材料,体现出中微公司在刻蚀设备领域达到国际水平的技术竞 争力。北方华创、屹唐股份仅次于应用材料,分别录得 24 台、18 台。

从刻蚀细分类型来看,中微公司主要中标设备包括通孔刻蚀、接触孔刻蚀、介质 (氧化硅等)刻蚀、沟槽刻蚀等,其中 2020 年首次中标沟槽刻蚀;北方华创主要中标设 备包括硅槽刻蚀、铝刻蚀等;屹唐股份主要中标设备为介质(氮化硅、氮氧化硅等)刻 蚀、钝化层刻蚀等。


华力集成:中微公司中标数量位列第二,仅次于泛林,高于东京电子、应用材料。 过去五年华力集成招标期间,中微公司共中标 15 台,北方华创中标 1 台。其中中微公司 中标设备包括光阻刻蚀、铜互连沟槽刻蚀、钝化膜刻蚀、通孔刻蚀、多晶硅刻蚀等,北 方华创中标设备为多晶硅 STI 刻蚀。

华虹无锡:中微公司位列第二,仅次于泛林,高于迪恩士、东京电子。中微公司共 中标 11 台,北方华创中标 6 台,其中中微公司中标设备包括钝化膜刻蚀、氧化膜刻蚀、 介质侧墙刻蚀等,北方华创中标设备包括多晶硅刻蚀、浅沟槽刻蚀等。

总结:刻蚀设备方面,中微公司、北方华创、屹唐股份分列国内前三,其中中微公 司工艺覆盖范围相对较广,其主力出货类型为 CCP(电容耦合等离子刻蚀),面向介质刻 蚀较多,近期 ICP(电感耦合等离子刻蚀)逐步发力,未来工艺范围有望进一步拓宽;北 方华创主要工艺覆盖为多晶硅、浅沟槽、铝刻蚀等类型,主要面向金属、硅等导体刻蚀 为主;屹唐股份在长江存储获得大量采购,主要面向介质刻蚀。从三座晶圆厂累计招标 情况统计,国产设备中标总数 133 台,晶圆厂招标设备总数 605 台,由此计算国产化率 约 22.0%(按照台数占比,下同)。与国外厂商相比,国产刻蚀设备在刻蚀精度、工艺覆 盖率等方面还存在进一步提升空间。


2、薄膜沉积:国产化率 4.6%,拓荆科技、北方华创、盛美上海为国产前 三强

长江存储:薄膜沉积设备主要采购日美设备,包括东京电子、国际电气、泛林、应 用材料等。国产厂商中,拓荆科技、北方华创分别中标 14 台、11 台,其中拓荆科技中标 设备主要为 PECVD(等离子增强化学气相沉积),北方华创中标设备主要为 PVD(物理 气相沉积)。

华力集成:应用材料中标最多,国产包括拓荆科技、北方华创、盛美上海。其中拓 荆科技中标设备为 PECVD,北方华创中标设备为溅射设备,盛美上海中标设备为铜电镀 设备。


华虹无锡:主要采购应用材料、泛林,国产厂商包括北方华创、拓荆科技、江苏芯 梦。其中,北方华创中标设备为 PVD,拓荆科技中标设备为 PECVD,江苏芯梦中标设备为化学镀设备。

总结:薄膜沉积设备方面,拓荆科技、北方华创、盛美上海分列国内前三,但三家 厂商设备类型有明显差异,其中拓荆科技主要为 PECVD(等离子增强化学气相沉积), 北方华创主要为 PVD(物理气相沉积),盛美上海涉及电镀设备,三家厂商均是对应细分 设备(PECVD、PVD、电镀)领域的国内龙头,产业地位突出。从三座晶圆厂累计招标 情况统计,国产设备中标总数 44 台,晶圆厂招标设备总数 967 台,由此计算国产化率约 4.6%。与海外厂商相比,国产厂商在薄膜沉积领域工艺覆盖类型方面尚不完善,仍有较 大发展空间。

3、过程控制:国产化率 2.4%,中科飞测、精测半导体、睿励科学仪器国 内领先

长江存储:过程控制设备主要采购美、日设备,包括 Onto(由 Nanometrics 和 Rudolph Technologies 合并)、科天、日立高新、应用材料、赛默飞等。国产厂商中, 中科飞测、精测半导体、睿励科学仪器分别中标 7 台、6 台、2 台,其中中科飞测中标设 备主要为光学表面三维形貌量测设备,精测半导体中标设备主要为膜厚光学关键尺寸量 测仪,睿励科学仪器中标设备为介质薄膜测量系统。


华力集成:Nova Measuring、科天中标最多,国产仅睿励科学仪器中标。其中 Nova Measuring 为以色列量测设备公司,共计中标 45 台,中标产品包括化学机械研磨 厚度在线测量设备、光学线宽测量仪设备、硅片厚度测量仪、X 射线光电子能谱分析量测 设备等。睿励科学仪器于 2019 年 11 月中标的 1 台设备为后段膜厚测量仪设备(BEOL)。

华虹无锡:主要采购科天、日立高新,国产厂商包括吉姆西半导体科技、无锡卓海。 其中,吉姆西半导体科技 6 台中标设备为膜厚测量仪,无锡卓海 1 台中标设备为套刻精 度检测机。从两家公司官网我们了解到,吉姆西半导体科技主要业务为半导体再制造设 备和研磨液供应系统,再制造设备品牌涵盖应用材料、泛林、日新、东京电子、 Nanometrics、Mattson 等;无锡卓海科技专注半导体前道检测与量测设备领域的研发、 制造、修理、技术服务,再制造设备品牌涵盖科天、日立高新、Ruldoph、Quantox、尼 康等。


总结:过程控制设备方面,中科飞测、精测半导体、睿励科学仪器属于国内布局领 先企业,其中中科飞测主要产品为光学表面三维形貌量测设备等光学检测设备,精测半 导体、睿励科学仪器主要产品均为膜厚量测设备。从三座晶圆厂累计招标情况统计,国 产设备中标总数 16 台,晶圆厂招标设备总数 680 台,由此计算国产化率约 2.4%,国产 厂商设备仅覆盖膜厚量测、光学形貌量测等类型,品类尚不齐全,存在较大市场空间尚 待开拓。

4、氧化扩散/热处理设备:国产化率 29%,北方华创优势较为明显

长江存储:北方华创中标仅次于东京电子,屹唐股份、成都莱普科技亦获得采购。 其中北方华创共计中标 94 台,中标产品涵盖氧化、退火、合金等设备。屹唐股份中标 6 台,主要为退火设备;成都莱普科技于 2021 年 9 月中标 2 台,为退火设备。


华力集成:东京电子、应用材料等企业领先,北方华创、屹唐股份、盛美上海亦获 得采购。其中北方华创共计中标 4 台,中标产品涵盖退火、合金、氧化炉设备;屹唐股 份(Mattson)中标 2 台,为快速热退火/快速热氧化设备;盛美上海中标 1 台,为低压高 温氧化炉设备。

华虹无锡:东京电子获采购最多,国产厂商包括北方华创、屹唐股份、上海微电子 等。其中,北方华创中标 10 台设备,包括合金退火炉、真空烘烤炉等;屹唐股份中标 3 台,为快速热退火设备;上海微电子中标 2 台,为背面激光退火设备。

总结:氧化扩散/热处理设备方面,北方华创中标设备数量靠前,尤其是在长江存储 中获采购数量较大。北方华创相关设备主要以各类氧化炉、退火炉、合金炉等为主;除 北方华创外,屹唐股份、盛美上海等公司亦有相关炉管产品;上海微电子面向 IGBT 等应 用开发了激光退火设备,与炉管设备有所区别。从三座晶圆厂累计招标情况统计,国产 设备中标总数 124 台,晶圆厂招标设备总数 430 台,由此计算国产化率约 28.8%。


5、清洗:国产化率 31%,盛美上海中标设备数量国产最多,仅次于日本 迪恩士

长江存储:盛美上海中标设备数仅次于日本厂商迪恩士,国产中标厂商还包括芯矽 科技、北方华创、屹唐股份。其中盛美上海共中标 35 台,中标产品主要包括各类型单片 式清洗机。芯矽科技共计中标 5 台,中标产品为零部件清洗机。北方华创共中标 2 台制 程挡控片蚀刻回收清洗机,屹唐股份亦于 2021 年中标 2 台清洗设备。

华力集成:盛美上海中标仅次于日本迪恩士,北方华创、芯源微亦获得采购。其中 盛美上海共计中标 19 台,中标产品涵盖前段、后段工艺的清洗设备。北方华创中标 13 台,均为部件清洗设备;芯源微中标 3 台,为刷片清洗设备。

华虹无锡:迪恩士、盛美上海分列前两位,国产厂商还包括上海稷以科技有限公司。 其中,盛美上海中标 19 台设备涵盖前后段制程,涉及铜线聚合体剥离、铝线及通孔清洗、 多晶硅氧化膜硅片再生、扩散炉前清洗等环节,产品应用较为多样。上海稷以科技有限公司于 2021 年 9 月首次中标华虹无锡清洗设备 1 台,具体产品为 300mm 薄片等离子背 面清洗机。


总结:清洗设备方面,盛美上海表现较为突出,在选取的三家晶圆厂中设备中标数 量均位列第二,仅次于日本迪恩士。盛美上海清洗设备工艺覆盖面较广,基本涵盖前、 中、后段工艺,除盛美上海以外,国内北方华创、芯源微、屹唐股份、至纯科技等企业 均有所布局。从三座晶圆厂累计招标情况统计,国产设备中标总数 99 台,晶圆厂招标设备总数 318 台,由此计算国产化率约 31.1%。当前国产设备主要在后端制程为主,且部 分用于处理控片、挡片,在正片、前端制程应用相对有限,未来仍存在较大发展空间。

6、去胶:国产化率 74%,屹唐股份、盛美上海国产入围

长江存储:屹唐股份中标数量位列第一。屹唐股份共计中标 74 台,数量超过韩国 PSK,中标产品涵盖前、中、后段干法去胶设备。屹唐股份为中标范围内唯一一家国产 厂商。

华力集成:屹唐股份中标数量位列第一。屹唐股份共计中标 10 台,中标产品为等离 子去胶设备,涵盖前、中、后段去胶工艺。

华虹无锡:迪恩士获采购较多,国产厂商包括屹唐股份、盛美上海。其中,屹唐股 份中标 13 台设备,均为等离子去胶设备;盛美上海中标 4 台,均为前段光刻胶剥离设备。

总结:去胶设备方面,屹唐股份、盛美上海等公司入围,两家设备类型有所区别。 其中,屹唐股份主要产品为各类等离子体干法去胶设备,其收购的 Mattson 在去胶领域 具有长期技术积累,国产化率相对较高,盛美上海产品为湿法去胶设备。从三座晶圆厂 累计招标情况统计,国产设备中标总数 101 台,晶圆厂招标设备总数 137 台,由此计算 国产化率约 73.7%。

7、化学机械抛光:国产化率 21%,华海清科为国内细分龙头

长江存储:应用材料、华海清科中标最多。其中华海清科共计中标 34 台,仅次于应 用材料,中标产品主要为层间介质层化学机械抛光机、氧化硅化学机械抛光机、晶圆硅 面化学机械抛光机等。


华力集成:应用材料、荏原制作所领先,国内华海清科中标。华海清科共计中标 4 台,中标产品涵盖硅研磨设备、铜化学机械研磨设备、氧化硅化学机械研磨设备和硅片 背面氧化膜化学机械研磨设备。

华虹无锡:应用材料、华海清科获采购较多。华海清科共计中标 10 台设备,化学机 械抛光工艺涵盖铜、硅片再生、浅沟槽绝缘氧化膜&多晶硅膜、钨等工艺环节,应用领域 较为多样;吉姆西半导体科技中标 5 台,为氧化膜化学机械抛光设备。

总结:化学机械抛光设备方面,华海清科为国内细分龙头,化学机械抛光设备涵盖 铜、硅片再生、浅沟槽绝缘氧化膜&多晶硅膜、钨等多类材料。从三座晶圆厂累计招标情 况统计,国产设备中标总数 48 台,晶圆厂招标设备总数 230 台,由此计算国产化率约 20.9%。与海外厂商相比,在工艺覆盖率方面,国内厂商有进一步提升空间。

8、离子注入:国产化率 1.4%,烁科中科信国产获采购

长江存储:应用材料、亚舍立(Axcelis)中标较多。应用材料为离子注入领域全球 龙头,共计中标 38 台,中标产品涵盖高束流、中束流等类型;亚舍立 Axcelis 中标 8 台, 主要为高能离子注入设备。


华力集成:应用材料、住友重工、亚舍立等企业领先,国产烁科中科信获得采购。 应用材料中标设备涵盖高电流、中电流和高能量离子注入设备;住友重工中标设备包括 高电流和中电流离子注入设备;亚舍立中标设备为中电流和高能量离子注入机;烁科中 科信于 2019 年中标 1 台中束流离子注入机。

华虹无锡:住友重工、应用材料获采购最多,国产厂商烁科中科信获得采购。其中, 住友重工、应用材料、亚舍立分别中标 22 台、20 台、4 台离子注入机;烁科中科信于 2020 年中标 1 台,为中电流离子注入设备。

总结:离子注入设备方面,烁科中科信在华虹无锡、华力集成均获得中标,中标设 备均为中束流离子注入设备。从三座晶圆厂累计招标情况统计,国产设备中标总数 2 台, 晶圆厂招标设备总数 139 台,由此计算国产化率约 1.4%,该领域尚存在较大国内外差距, 替代空间广阔。

9、涂胶显影:国产化率 1.1%,芯源微实现国产零突破

长江存储:主要采购自东京电子,部分迪恩士、汉民科技。尚未采购国产厂商设备。


华力集成:东京电子获采购数量领先,芯源微实现零的突破。其中,国产厂商芯源 微中标 1 台,为防反射层匀胶机设备/BARC Coater。

华虹无锡:18 台招标涂胶显影设备全部采购自东京电子,尚未采购国产厂商设备。

总结:涂胶显影设备方面,东京电子获采购较多,国产设备公司中仅芯源微入围。 芯源微在华力集成中标设备为匀胶机,国产化尚存在较大发展空间。除上述晶圆厂外, 芯源微还在中芯绍兴、上海积塔、青岛芯恩等晶圆厂获得批量招标采购,产品包括聚合 物涂胶显影机、背面涂胶显影机、KrF 匀胶显影机、I-line 匀胶显影机等,公司在国内涂 胶显影设备领域具有一定稀缺性。从前述三座晶圆厂累计招标情况统计,国产设备中标 总数 1 台,晶圆厂招标设备总数 91 台,由此计算国产化率约 1.1%。(报告来源:未来智库)

10、光刻:国产化率 1.2%,阿斯麦绝对垄断,上海微实现国产零突破

光刻机方面,各晶圆厂均主要采购阿斯麦产品,少量采购日系厂商佳能、尼康。国 产厂商中,上海微电子装备于 2021 年初于长江存储中标一台光刻机。当前在光刻机领域, 后续厂与龙头厂商阿斯麦之间差距仍较为明显。从三座晶圆厂累计招标情况来看,国产 设备中标总数 1 台,晶圆厂招标设备总数 86 台,国产化率约 1.2%,国产化率尚低。


5 总结:测算三座晶圆厂设备国产化率总体在 15%左右,坚定看好设备国产替代趋势

国产化率计算:长江存储、华虹无锡、华力集成设备国产化率(按照设备台数占比, 下同)分别为 16.3%、15%、12.8%。经过前文讨论,我们汇总了三座晶圆厂各类设备的 国产化率情况。从各类型设备来看,去胶、清洗、氧化扩散/热处理、刻蚀、化学机械抛 光领域国产化率均可达到 20%以上,而薄膜沉积、过程控制、离子注入、光刻、涂胶显 影设备国产化率尚低。

我们发现,国产化率较高的领域都可以找到相应的国内细分龙头 公司,在去胶领域,屹唐股份收购的 Mattson 公司在等离子体去胶领域具有长期成熟技 术积累,是去胶领域细分龙头厂商,因此国产化率最高;清洗领域盛美上海深耕多年, 兆声波清洗技术独特,亦占据国内出货领先地位;氧化扩散/热处理领域北方华创出货较 多,尤其是在长江存储占比较高;刻蚀领域中微公司在介质刻蚀深耕多年,北方华创在 金属和硅刻蚀长期布局,屹唐股份亦在介质刻蚀具有成熟技术;化学机械抛光领域华海 清科为国内细分龙头。

而国产化率尚低的领域均为产品类型繁多或者技术壁垒较高领域, 尚待时间开拓,如薄膜沉积产品类型众多,当前国产厂商布局尚且有限,过程控制、离 子注入和光刻机技术壁垒均较高,需长期技术积淀,国内厂商需经历长期发展有望逐步 突破,目前拓荆科技、中微公司分工协作分别布局 PECVD、LPCVD 化学气相沉积领域, 北方华创布局 PVD 物理气相沉积领域,芯源微在涂胶显影领域实现零的突破,上海微电 子在光刻领域实现零的突破。


三座晶圆厂横向对比来看,长江存储在设备国产化方面较为积极,总体国产化率高于另两家晶圆厂,这可能是由于长江存储生产存储芯片,为 IDM 模式(设计、制造一体), 设备选择自主性相对高于晶圆厂代工厂(代工厂或需考虑设计客户接受情况)。华虹无锡 与华力集成同属于华虹集团,而华虹无锡各类型设备国产化率基本均高于华力集成(个 别如氧化扩散/热处理、离子注入除外,但相差不大),这可能是由于华虹无锡主要制程在 90nm~55nm,属于成熟制程,华力集成主要为 28~14nm,相对于华虹无锡而言属于较 先进制程,成熟制程在设备国产化率方面相对更高。

产能扩张+国产替代积极推进,看好未来 1~2 年半导体设备行业发展。展望 2022 年, 中芯国际、华虹无锡、华力集成等晶圆代工厂以及长鑫存储、长江存储等 IDM 厂均有持 续产能扩增计划,在当前行业景气、产能紧张背景下,半导体设备公司持续有基本面业 绩支撑。另一方面,美国制裁华为、中芯国际等已经激发国内厂商供应链安全意识,国内晶圆厂有望加快供应链本土化,国产设备厂商接下来 1~2 年有望受益国产份额的阶跃 式提升。


(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

精选报告来源:【未来智库】。未来智库 - 官方网站